华人芯片设计技术研讨会 2023

2023年3月22—24日

中国 深圳

欢迎参加

华人芯片设计技术研讨会 2023

华人芯片设计技术研讨会(ICAC Workshop)致力于为中国集成电路设计的学术界和产业界同仁建立一个顶尖的技术交流平台,营造开放的技术讨论氛围,促进可能的合作,激发新的想法和方向,集思广益,共同提高。因此,我们特别邀请过去一两年中发表了ISSCC或JSSC的中国顶尖IC设计学者/工程师在论坛上做学术报告。希望ICAC华人芯片设计技术研讨会和中国的IC产业一起共同成长,广大IC领域的同行们积极参会。

演讲嘉宾

(排名不分先后,按姓氏拼音排序)

蔡桂港,澳门大学

蔡浩,东南大学

陈迟晓,复旦大学

陈虹,清华大学

陈继新,东南大学

陈文华,清华大学

陈勇,澳门大学

陈知行,澳门大学

程林,中国科学技术大学

单伟伟,东南大学

邓伟,清华大学

邓至贤,电子科技大学

郭梦晗, 豪威科技(上海)

郭啸峰,纽瑞芯

郭衍束,清华大学

何卫锋,上海交通大学

黄沫,澳门大学

贾海昆,清华大学

贾弘洋,清华大学

江洋,澳门大学

金晶,上海交通大学

康凯,电子科技大学

李家明,澳门大学

林智声,澳门大学

蔺智挺,安徽大学

刘力源,中国科学院半导体研究所

刘勇攀,清华大学

路延,澳门大学

马恺声,清华大学

马许愿,澳门大学(特邀)

马宇飞,北京大学

麦沛然,澳门大学

毛翔宇,澳门大学

庞竞舟,重庆大学

祁楠,中国科学院半导体研究所

钱慧珍,电子科技大学

屈万园,浙江大学

阮家煇,澳门大学

沈林晓,北京大学

舒一洋,电子科技大学

司鑫,东南大学

孙楠,清华大学

谭志超,浙江大学

唐希源,北京大学

唐中,杭州万高

涂锋斌,香港科技大学

王成,电子科技大学

王远飞,澳门大学

王子轩,南京邮电大学

夏银水,宁波大学

闫娜,复旦大学

杨秉正,电子科技大学

杨建国,中科院微电子所

殷俊,澳门大学

殷韵,复旦大学

尹首一,清华大学

岳金山,中科院微电子所

詹陈长,南方科技大学

张沕琳,清华大学

張明磊,澳门大学

张奕涵,北京大学

张钊,中国科学院半导体研究所

章秀银,华南理工大学

赵博,浙江大学

赵涤燹,东南大学

郑光廷,香港科技大学(特邀)

周军,电子科技大学

周培根,东南大学

更多嘉宾信息更新中...

会议组委会


大会主席

赵涤燹,东南大学

徐佳伟,复旦大学

路延,澳门大学

技术委员会主席

李强,电子科技大学

孙楠,清华大学

麦沛然,澳门大学

刘勇攀,清华大学

陈迟晓,复旦大学

会议日程

ICAC 2023 Conference Program Day0-March 22
10:00-20:00 签到及会议资料领取(Conference Registration and Materials Collection)
18:30-21:00 赞助商宣讲 (Sponsors Speech)
学生海报展示 (Student Poster Presentation)
ICAC 2023 Conference Program Day1-March 23
08:30 大会欢迎辞: 赵涤燹,东南大学
08:40 马许愿,澳门大学
09:20 郑光廷,香港科技大学
茶歇
  射频与毫米波技术(殷俊,澳门大学) 新型感知与计算芯片(周军,电子科技大学) 模数转换器 I(陈知行,澳门大学)
10:30 麦沛然,澳门大学 郭衍束,清华大学 孙楠,清华大学
Ultra-Low-Power Passive-Intensive BLE Receiver A Polar-Modulation Based Cryogenic Qubit State Controller for Quantum Computing A 1GS/s PVT-Robust Ring-Amp-Based Pipelined TI-SAR ADC
11:00 王成,电子科技大学 马恺声,清华大学 张明磊,澳门大学
A Calibration-Free 12.8-16.5GHz Cryogenic CMOS VCO with 202dBc/Hz FoM for Classic-Quantum Interface A 28nm 68MOPS 0.18μJ/Op Paillier Homomorphic Encryption Processor with Bit-Serial Sparse Ciphertext Computing High-Performance Time-Domain ADC
11:30 赵涤燹,东南大学 郭梦晗,豪威科技(上海) 郭啸峰,纽瑞芯
CMOS Millimeter-Wave Phased-Array IC Design with High Linearity and Low Noise A Three-wafer-stacked, Hybrid 15MP CIS + 1 MP EVS with 4.6 GEPS Readout, In-pixel TDC and On-chip ISP and ESP Function A 13b 600-675MS/s Tri-State Pipelined-SAR ADC with  Inverter-Based Open-Loop Residue Amplifier
午休
  新型射频技术 (邓伟,清华大学) 存算一体处理器(单伟伟,东南大学) 电源架构与控制(刘寻,香港中文大学(深圳))
13:30 赵博,浙江大学 尹首一,清华大学 詹陈长,南方科技大学
Wireless Sensing Chips: From 'Low-Power' to 'Battery-Free' CV-CIM: A 28-nm XOR-Derived Similarity-Aware Computation-in-Memory for Cost-Volume Construction A 6.78-MHz Wireless Power Transfer System with Inherent Wireless Phase-Shift Control without Feedback Data Sensing Coil
14:00 张沕琳,清华大学 周军,电子科技大学 黄沫,澳门大学
TBD DL-VOPU: An Energy-Efficient Domain-Specific Deep Learning based Visual Object Processing Unit supporting Multi-Scale Semantic Feature Extraction for Mobile Object Detection/Tracking Applications A 12-1 Quad-Output Switched-Capacitor Buck Converter with Shared DC Capacitors Achieving 90.4% Peak Efficiency and 48mA/mm3 Power Density at 85% Efficiency
14:30 殷韵,复旦大学 贾弘洋,清华大学 蔡桂港,澳门大学 
A Fully-Integrated Wideband Digital Polar Transmitter with 11-bit Digital-to-Phase Converter in 40nm CMOS Full-stack Integration of Efficient In-memory Computing with Robust Approximation A Compact 12V-to-1V Hybrid Buck Converter
15:00 钱慧珍,电子科技大学 涂锋斌,香港科技大学 毛翔宇,澳门大学
Millimeter-wave Power-DAC with LO Leakage Suppression and Data-rate Enhancement TensorCIM: Digital Computing-In-Memory Tensor Processor for Multi-Chip-Module-based Beyond-NN Acceleration High-Current Fully-Integrated Voltage Regulators for Multi-Core Processors
茶歇
  射频振荡器(赵博,浙江大学) 存内计算电路(贾弘洋,清华大学) 模数转换器 II(揭路,清华大学)
15:45 殷俊,澳门大学 杨建国,中国科学院微电子研究所 陈知行,澳门大学      
A mm-Wave Low-Phase-Noise Dual-Path-Synchronized Quad-Core Oscillator A 9-Mb HZO-based Embedded FeRAM Macro with a 1012-Cycle Endurance and, 5/7ns Read/Write using 5ns Read, 7ns Write, ECC-Assisted Data Refresh and an Offset-Canceled Sense Amplifier Involution of Analog-to-Digital Converter Community
16:15 贾海昆,清华大学 蔺智挺,安徽大学 唐希源,北京大学
The 8-shape inductors: A Nice-to-Have Piece in Your RFIC design Toolbox In Situ Storing 8T SRAM-CIM Macro for Full-Array Boolean Logic Operations A 150kHz-BW 15-ENOB Incremental Zoom ADC with Skipped Sampling and Single Buffer Embedded Noise-Shaping SAR Quantizer
16:45 张钊,中国科学院半导体研究所 岳金山,中国科学院微电子研究所 谭志超,浙江大学
Design of the Multi-Path Loop Based PLL for Low-Voltage Low-Power Application A 28nm 16.9-300TOPS/W Computing-in-Memory Processor Supporting Floating-Point NN Inference/Training with Intensive-CIM Sparse-Digital Architecture Low-Power Delta-Sigma ADC Design
17:15 张奕涵,北京大学 蔡浩,东南大学    金晶,上海交通大学
A 0.954nW 32kHz Crystal Oscillator in 22nm CMOS with Gm-C-Based Current Injection Control A 28-nm 2-Mb STT-MRAM Computing-in-Memory Macro with a Refined Bit-Cell and 22.4 – 41.5TOPS/W for AI Inference High Resolution Noise Shaping SAR ADC With An Integrated Highly Linear Input Buffer
17:45 舒一洋,电子科技大学 马宇飞,北京大学 沈林晓,北京大学
Scalable Inter-Core-Shaping Multi-Core Oscillator for High-FoM Low-Phase-Noise mm-Wave Signal Generation A Delta-Sigma Computing-In-Memory (ΔΣCIM) SRAM Macro for Edge AI Processing A 7.9fJ/Conversion-Step and 37.12aFrms Pipelined-SAR Capacitance-to-Digital Converter with kT/C Noise Cancellation and Incomplete-Settling-Based Correlated Level Shifting
       
19:30-21:30 芯片产业主题论坛
主持人:赵涤燹 东南大学
ICAC 2023 Conference Program Day2-March 24
  毫米波与太赫兹技术 I(康凯,电子科技大学) 领域智能芯片(陈迟晓,复旦大学)
08:30 邓伟,清华大学 阮家煇,澳门大学
Ka-band 4TX/4RX Dual-stream Phased-array Joint Radar-Communication CMOS Transceiver A Low-Power Mixed-Signal Voice Activity Detector (VAD) Featuring a Non-Volatile Capacitor-ROM, a Short-Time CNN Feature Extractor and an RNN Classifier
09:00 陈文华,清华大学 陈虹,清华大学
Broadband Energy-Efficient Silicon-Based Terahertz Generation Based on Frequency Multiplier Chains ANP-I: A 28nm 1.5pJ/SOP Asynchronous Spiking Neural Network Processor Enabling Sub-0.1μJ/Sample On-Chip Learning for Edge-AI Applications
09:30 陈继新,东南大学 何卫锋,上海交通大学
W-band Scalable 2 × 2 Phased-Array Transmitter and Receiver Chipsets in SiGe BiCMOS for High Data-Rate Communication High Energy-Efficient Mixed-Signal GPS Accelerator with Charge-Based Correlation Computing for Signal Acquisition
10:00 刘力源,中国科学院半导体研究所 刘勇攀,清华大学
CMOS Terahertz Image Sensors Energy-Efficient Machine Learning Processor: A General Domain Approach
茶歇
  开关电容与能量采集(姜俊敏,南方科技大学) 高能效智能芯片(岳金山,中国科学院微电子研究所)
10:45 江洋,澳门大学 单伟伟,东南大学
A Rational Switched-Capacitor DC-DC Converter with Soft Conversion Ratio Transitions An Efficient and Reliable Negative Margin Timing Error Detection for Neural Network Accelerator without Accuracy Loss in 28nm CMOS
11:15 夏银水,宁波大学 陈迟晓,复旦大学
Configurable Hybrid Energy Synchronous Extraction Interface With Serial Stack Resonance for Multi-Source Energy Harvesting A CIM Transformer Acceleerator with in-Memory Butterfly Zero Skipper for Unstructured-Pruned NNs
11:45 王远飞,澳门大学 司鑫,东南大学
A Continuously Scalable-Conversion-Ratio SC Converter with Reconfigurable VCF Step for High Efficiency over an Extended VCR Range A 28nm Horizontal-weight-shift and Vertical-feature-shift based Separate-wordline 6T SRAM Computation-in-Memory Unit-Macro for Edge Depthwise based Neural Networks
午休
  频率源与功放技术 (陈勇,澳门大学) 模拟电路技术 (徐佳伟,复旦大学)
13:30 康凯,电子科技大学 李家明,澳门大学
  Analysis and Design of High-harmonic-rejection Multi-ratio mm-wave Frequency Multipliers A 12/13.56MHz Crystal Oscillator with Binary-Search-Assisted Two-Step Injection Achieving 5.0nJ Startup Energy and 45.8µs Startup Time
14:00 章秀银,华南理工大学 祁楠,中国科学院半导体研究所
  Broadband and Multi-function Millimeter-wave Power Amplifier in 28 nm Bulk CMOS High-speed Circuits Design in Optical Transceivers for Datacenter Co-packaged Optics
14:30 闫娜,复旦大学 唐中,杭州万高
  Analysis and Design of a Dual-Mode VCO with Inherent Mode Compensation Enabling a 7.9-14.3GHz 85fs-rms Jitter PLL A Sub-1V Energy-Efficient Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from -55 °C to 125 °C
15:00 庞竞舟,重庆大学 王子轩,南京邮电大学
  Broadband GaN MMIC Doherty Power Amplifier Using Continuous-Mode Combining for 5G Sub-6 GHz Applications A 16MHz XO with 17.5μs Startup Time Under 10000ppm-ΔF Injection Using Automatic Phase-Error Correction Technique
茶歇
  毫米波与太赫兹技术 II(庞竞舟,重庆大学) 混合架构电源转换器(高源,南方科技大学)
15:45 陈勇,澳门大学 程林,中国科学技术大学
  Silicon-Based Harmonic-Extraction Sub-THz VCO Incorporating Multi-Resonance, Multi-Core, and Multi-Mode (3M) Techniques A High-Efficiency Hybrid Buck-Boost Converter with Always Reduced Conduction Loss
16:15 杨秉正,电子科技大学 屈万园,浙江大学
  71-to-89GHz Double-Edge-Triggered Quadrature RFDAC with Data-Rate Improvement and LO Leakage Suppression High Efficiency Dual-Path Series-Capacitor 12/1V Converter with Low V·A Metric
16:45 周培根,东南大学 林智声,澳门大学
  A 211-to-263-GHz Dual-LC-Tank-Based Broadband Power Amplifier With 14.7-dBm PSAT and 16.4-dB Peak Gain in 130-nm SiGe BiCMOS A Dual-Inductor Quad-Path Hybrid DC-DC Converter
17:15 邓至贤,电子科技大学 路延,澳门大学
  Boardband Phased-Array Transmitter and Receiver A Reconfigurable Bidirectional Power Delivery Voltage-Regulating USB Cable

学生海报征集

为了进一步鼓励和加强芯片设计领域同学们之间的交流,除了学术主题演讲,ICAC 2023还设置了学生海报环节,并鼓励大家现场展示。会议期间将评选出最佳学生海报,并在大会上颁奖。参会海报环节的学生将获得绝佳的参会体验并将收获颇丰。

学生海报征集链接:https://iconf.young.ac.cn/6qFq9 (征集已结束)

重要日期:
海报申请提交截止日期:2023年2月10日
海报终版提交截止日期:2023年3月10日
学生海报环节举办日期:2023年3月22日
请点击下载学生海报CFP了解更多信息

学生海报展示名单

2023年3月22日 18:30-21:00

会议注册


早鸟注册

(截止至2023年2月20日3月1日)

  • 学生注册: 1000 RMB
  • 普通注册: 2200 RMB

常规注册

(2023年2月21日3月2日起)

  • 学生注册: 1200 RMB
  • 普通注册: 2600 RMB

点击注册 →

注册须知:

  • 可点击上方按钮或右方链接进行注册:https://iconf.young.ac.cn/JYyFm
  • 注册成功即会收到相关确认信息,请点击此处下载会议通知,如您需要邀请信等,请发邮件至会务组:icacworkshop@126.com
  • 同单位多人参会,每四位同时注册,可免一位注册费,详情请参见注册链接。(注:现场注册不享受团体注册优惠)
  • 主办单位与赞助支持


    主办单位

                               

    支持单位

    深圳福田区人民政府

    河套深港科技创新合作区建设发展事务署


    承办单位

    华芯设集成电路技术中心


    钻石赞助

    铂金赞助

    黄金赞助

    联系我们

    会议秘书

    钟女士

    邮箱:icacworkshop@126.com

    电话: (86)18628263876